Logo   | Search
About
Project Feasibility Study
Hardware Design &
Fabrication
Program Development & Debugging
Product Characterization & Correlation


 
   
 
 
Enter
 
 
Intel sheds a little more light on Silverthorne
 

Intel filled in some of the missing details on its Silverthorne mobile processor Tuesday, helping explain how it managed to get the power consumption of this chip down under a couple of watts.

Gianfranco Gerosa of Intel presented the company's paper on Silverthorne, its low-power mobile processor destined for the next generation of mobile Internet devices later this quarter, during the International Solid State Circuits Conference in San Francisco. Intel Chief Technology Officer Justin Rattner had already discussed Silverthorne in some detail last week, but the wonky details were laid bare for a roomful of people who are way, way smarter than me.

A few Silverthorne tidbits, however, could be deciphered by those of us who wasted their education dollars on a business degree. This chip is tiny, measuring just 3.1 millimeters by 7.8 millimeters for a die size of 24.2 millimeters squared. By comparison, the dual-core version of Intel's newest Penryn chips for PCs has a die size of 107 millimeters squared. That means Intel can make roughly four times as many Silverthorne chips on a single silicon wafer as compared with the dual-core Penryns. Let's see how much the company decides to charge for it.

The chip will be able to reach 2.5GHz, although Intel is quoting a 2GHz clock speed for the 2-watt thermal design power, or the maximum power consumption that system designers have to take into account when building their devices. It uses a 16-stage pipeline, compared to the 14-stage pipeline used by the Core 2 Duo chips.

Think of a pipeline stage as part of an assembly line: the more stages in the process, the faster it has to run to build something in the same amount of time as a line with fewer steps. Intel's Pentium 4 processor topped out at 31 stages, which allowed the company to crank it up over 3GHz to satisfy the marketing department's proclamation that the only thing us mortal PC buyers understood was clock speed.

Unfortunately for Intel, that wasn't a very sound design. A chip running at that kind of speed runs way too hot, especially as current leakage problems became more pronounced, so Intel designed the Pentium M microprocessor with fewer pipeline stages. It did more work per stage, which allowed it to run slower and cooler. Eventually, those design principles were incorporated into the Core lineup of processors, and Intel got its mojo back.

So it's a bit surprising that its most serious low-power effort to date would have two additional pipeline stages, but Intel got around that problem by switching to an in-order pipeline, and by adding hyperthreading. That combination produced the most efficient performance-per-watt ratings in Intel's internal testing, Gerosa said.

Silverthorne also makes use of several low-power states in which the chip shuts down certain elements of the processor when they aren't required by the software. Intel estimates that Silverthorne will spend 90 percent of its time in deepest sleep state, which it calls C6. Virtually everything gets turned off in C6, and it takes 100 microseconds to wake the chip back up when new processing orders come in, Gerosa said.

As a result, Intel is quoting average power numbers for Silverthorne "in the order of a few hundred (milliwatts)," which sounds like quite the accomplishment. That will be nice for battery life, but it doesn't really matter when it comes to building a sleek device. Anyone who wants to use Silverthorne will have to design a device that can handle the full 2 watts of power that Silverthorne will consume running flat out.

After all, the whole point of Intel's pitch to put x86 chips in mobile devices is that those devices would be able to run Windows and any piece of PC software. While Intel is increasingly pitching Linux for its mobile devices--and keeping a close eye on that other mobile operating system--there are certain tasks that are going to require all the processing power Silverthorne can deliver.

The MIDs that Intel and its partners have shown off using Silverthorne don't look all that different from the older MIDs that haven't sold very well to date. They're still a little too bulky to compete with slicker smart phones from the likes of Nokia, Samsung, and Apple, which are powered by chips designed by ARM for mobile phones.

True competition from Intel in this area probably won't arrive until the Moorestown chip is ready in a couple of years, but Silverthorne is a milestone on that path. We'll start to see if people are interested in MIDs based on the chip by the middle of this year, when we'll also get a true sense of its performance.

 
Copyright © ChipTest, All Rights Reserved | Disclaimer
Designed & Developed by Cherry
Home